PDA

View Full Version : Mentor Graphics Modelsim SE 10.1c



CRonaldo
08-11-2013, 04:41 PM
Mentor Graphics Modelsim SE 10.1c

http://i33.fastpic.ru/big/2013/0401/76/3f72eb56e3f9081eead252fcf8000576.png


Mentor Graphics Modelsim SE 10.1c
English | Mentor Graphics Modelsim SE 10.1c | 707 MB


The system of digital simulation projects based on VHDL, Verilog and "mixed" descriptions with built-in performance analysis, indicating "active" code (code coverage), the comparator time diagrams and visualizer Enhanced Dataflow Window. Main features: high speed simulation for RTL and Gate projects; single simulator kernel and optimized architecture Native Compiled; interactive debugging and analysis with a module Debug Detective; integrated analysis of Code Coverage; optimization of simulation speed by using the Performance Analyzer Performance Analyzer; comprehensive tracing signals Signal Spy; integrated debugger C, C and interfaces support Tcl / Tk; support for OS Unix / Windows / Linux.




http://www.netload.in/dateiY5h1vl5jyt/modelsim-se-10.1c-32b-64b.iso.htm


Mirror:



http://uploaded.net/file/lyv7u521/modelsim-se-10.1c-32b-64b.iso


Mirror2:



http://u19793231.letitbit.net/download/81085.8008b9ddfb0638601327e52651f4/modelsim-se-10.1c-32b-64b.iso.html


Mirror3:



http://u18185051.shareflare.net/download/12562.15b238f1ba0bc9b7c136e8d5dee9/modelsim-se-10.1c-32b-64b.iso.html